首页 >>  正文

3-8线译码器电路图

来源:baiyundou.net   日期:2024-09-21

后品奋991用74LS138设计一个译码电路,分别选4片2864,列出各芯片占的地址空间范围 -
戈红昂15692988509 ______ 2864的寻址范围是0~8192,74LS138是三八线译码器,分选4片2864,若取前4位译码输出,个芯片的地址空间(假设偏移地址为0x0000)为: 0x0000~0x1FFF; 0x2000~0x3FFF; 0x4000~0x5FFF; 0x6000~0x7FFF.

后品奋991关于数字电路3线—8线译码器的问题 -
戈红昂15692988509 ______ 这个电路应该很简单,不用画真值表,要不然反而走了弯路. 三位二进制输入代码译码后为0-8,其中能被5整除的只有0和5.当没有代码输入时,74LS138的8个输出端都呈现高电平.你可以把74LS138输出端的0、5脚接到一个2输入与非门,74LS138输出的其它引脚悬空,与非门输出端的电平就可以达到你的要求了. 希望这能帮到你!

后品奋991设计电路:要求输入3个变量不同时,输出为1,否则为0,全部用与非门 -
戈红昂15692988509 ______ 这个相当简单的,建议你去参考74LS138,3-8译码器电路(资料上有他的内部电路图,完全由与非门构成); 3个变量 A、B、C,全部=0,输出 Y0=0,A、B、C 全部=1,输出 Y7=0,否则 Y0(或 Y7) =1; 然后 F = (Y0+Y7)非,为了适用与非门,则 F = (Y0+Y7)非 = Y0非 * Y7非;可也.

后品奋991用4片3 - 8译码器组成5 - 32译码器的电路接线图怎么画啊?急谢谢
戈红昂15692988509 ______ 要一个门电路才行 如果只有4片3—8译码器的话根本做不了 因为当一个译码器的输入端送数的时候会导致另外三个3-8译码器中的某一个工作

后品奋991控制三位数码管使其显示特定数字 -
戈红昂15692988509 ______ 原理上是可行的,但是有多余的设计,你的6只4511任何时间都在干同样的事情,为什么你不直接只用一个来做,怕驱动电流不够?反正你是做动态扫描的,74HC138每次只让18只数码管中的一只点亮,带1只数码管用1只4511驱动电流足够的啦,把所有数码管的段码引脚都并接在1只4511上即可.其实都上FPGA了还搞那么复杂的外围干嘛,7+18个端口直接驱动18只数码管就可以了,最多加一些缓冲元件扩流.

后品奋991求教下二极管的电路图怎么画1.用555电路构成方波发生器,作为触发器的cp脉冲2.用J、K触发器构成四进制计数器3.用3线-- - 8线译码器输出,使四个发光二... -
戈红昂15692988509 ______[答案] 东西都有,你没图照啥买的件呀,我真佩服你了.

后品奋991用3线 - 8线译码器74138和门电路设计1位二进制全减器电路.输入为被...
戈红昂15692988509 ______ 楼主可以参考: http://hi.baidu.com/hongjingfen/blog/item/d67d31ee079df9f8b3fb95bb.html 3线-8线译码器设计 1. 按照以上介绍的ModelSim软件的一般使用方法,编写Verilog源程序,设计3线-8线译码器(门级描述); module decode38(s1,s2,s...

后品奋991译码器的工作原理是怎么样子的? -
戈红昂15692988509 ______ 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号.有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码. 在图1中,74138是一...

(编辑:自媒体)
关于我们 | 客户服务 | 服务条款 | 联系我们 | 免责声明 | 网站地图 @ 白云都 2024