首页 >>  正文

74ls138仿真电路图

来源:baiyundou.net   日期:2024-09-22

詹浦饰299174ls193和74ls138的流水灯设计电路的电路图 -
刘潘尤13061427493 ______[答案] 流水灯的建议我是用555加上cd4017,这样做简单.用上述的方法,我还真的是做不来

詹浦饰2991用74LS138器件设计逻辑函数Y=(非)CA(非)B+(非)A(非)C+BC ,求具体电路图啊谢谢,给分 -
刘潘尤13061427493 ______ Y=AB'C'+A'C'(B+B')+(A+A')BC=AB'C'+A'BC'+A'B'C'+ABC+A'BC=Y4+Y2+Y0+Y7+Y3 将138的输出0 2 3 4 7脚接一个与非门即可提问者评价 请问是将138器件的0 2 3 4 7脚全部接在一个与非门上还是分别接一个与非门呢啊? 74LS11是三个3输入与门 Y0Y2Y3接一个与门 它的输出与Y4Y7再接一个与门 这时候的输出接74LS04非门 得到最终的Y 真值表只能自己列写了 只能帮你到这一步 上次你是评价不是追问 所以没法继续回答

詹浦饰2991怎么样用74LS138设计七段显示器,其驱动电压和七段显示管是不是同一电压?请给出电路接线图,谢谢,急!
刘潘尤13061427493 ______ 74LS138只是一个3线-8线译码器,输出中同时只有一个是低电平,如果接七段码显示器,同时只能是一段(包括小数点)亮(或暗),不能显示数字. 如果想直接用数码管做显示,建议你用74LS246、LS247、LS248、LS249,他们都是4线...

詹浦饰2991如何用74ls161和74ls138做一个8个彩灯循环电路 -
刘潘尤13061427493 ______ 如图,电路是由四位同步二进制计数器74LS161和3线-8线译码器74LS138构成,计数器的输出端QC、QB、QA分别接译码器的代码输入端C、B、A,译码器的输出端接LED.图中的XLA1是因为我自己有其他用途,把它去掉就行了.

詹浦饰2991用74ls90和74ls138构成一个可以产生5个节拍脉冲的时序脉冲发生器 -
刘潘尤13061427493 ______ 74ls90 就是一个二,五进制计数器,用Q3Q2Q1三个输出端就是输出五进制数,再用74ls138译码就成了.74ls138的Y0~Y4,输出的就是5个节拍脉冲的时序脉冲了.如下图,

詹浦饰2991一个由3线 - 8线译码器和与非门组成的电路如所示,试写出Y1和Y2的逻辑表达式 -
刘潘尤13061427493 ______ 令74LS138的三个选通输入依次是ABC Y1=AC的话 列出真值表,当ABC=101或者111的时候 Y1=1. 当ABC=101时,译码器选择Y5(即此时Y5输出0,其余输出1) 将Y5和Y7接到门电路的与非门即可. Y2 Y3的实现同理 Y2好像可以化简 A先跟BC取异或再跟BC取与 .

詹浦饰2991我们用74LS138和74lS160驱动8*8LeD点阵式的显示,电路图应该是咋样的啊,求解啊 -
刘潘尤13061427493 ______ 74LS160是二(十)进制计数器,74LS138是三八线驱动器,用多个74LS138并行输出,前面的74LS160的ABCD输出端接一个8421码—三线转换器,再接74LS138

詹浦饰2991用三片3线 - 8线74ls138组成5线 - 24线译码器 -
刘潘尤13061427493 ______ 用三片3线-8线74ls138组成5线-24线译码器,74LS138有三条选择输入线既 A,B,C.片脚为脚1,脚2,脚3.把三片74LS138的A,B,C,分别并联在一起,既每条线上连有3个A,3个B,3个C.这样,占去了5条线的3条.还剩两条线,用于控制三片74LS...

詹浦饰2991设计一个三变量表决电路:输出与多数变量的状态一致.设计一个三变量表决电路:输出与多数变量的状态一致.(1)用与非门实现;(2)用74LS138译码器... -
刘潘尤13061427493 ______[答案] 2.用数据选择器74LS151设计逻辑函数: - - - - -Y=ABCD+ABC+ABCD

詹浦饰2991用数据选择器74ls153和门电路设计1位二进制全减器电路 -
刘潘尤13061427493 ______ 用数据选择器 74LS153 和门电路设计 1 位二进制全减器电路. 全减器的功能,是:CyD = A-B-C. 式中,A、B、C:是输入的三个一位数. Cy、D :输出两位数 ,分别是“借位”和“差”. 1. 根据功能要求,列出功能真值表. 2. 选用输入...

(编辑:自媒体)
关于我们 | 客户服务 | 服务条款 | 联系我们 | 免责声明 | 网站地图 @ 白云都 2024