首页 >>  正文

verilog实现除法

来源:baiyundou.net   日期:2024-09-21

支旭周1086在quartusII中用verilog语言,实现数据除以10取整的目的,也就是舍掉个位数 -
桓应店18837825600 ______ 这不是很简单嘛,知道CORDIC原理吗?0.7=1/2+1/4-1/8.......以此类推知道你觉得足够精度为止.你看一个数乘以0.7是不是完全可以通过移位加来算出来呢?就是0.7右移一位+0.7右移二位-0.7右移三位等等...懂了吗

支旭周1086怎样用verilog实现表达式
桓应店18837825600 ______ 首先,你用的是FPGA,就不能算非基2的除法.具体除法,有两种方法,一个用减法,一个用查找表(lookup table). 至于pi,你只能先存在RAM里面,取一个大概值.(具体精度看你自己) 至于向负无穷大取整,自己估计一个精度,取吧~~

支旭周1086verilog中除法运算在modelsim仿真中只要一个时钟周期就能完成,实际上也是这样吗? -
桓应店18837825600 ______ 比较怀疑,这种写法能综合.乘法,和除法,如果不使用厂家提供的ipcore的话,要自己搭建.我的经历,要自己搭建,一般需要多个时钟周期,需要的周期数,依赖于参与运算的数据位宽.

支旭周1086急求用Verilog语言编程设计一个简单计算器,要求如下: -
桓应店18837825600 ______ module(clk,rst,a,b,out,z); input clk,rst; input [7:0]a,b; output [15:0]out; output [7:0]z; reg [15:0]out; reg [15:0]shifta; reg [7:0]z;//商 reg [1:0]k;//根据取值不同进行不同的运算 reg [7:0]n;//存放除法中间变量 always@(posedge clk) if(!rst) begin outzend ...

支旭周1086verilog hdl怎样进行整除6运算 -
桓应店18837825600 ______ 先判断除2,再判断除3 判断除3麻烦一点,要用for写 把被除数扩展成4*n,高位补0,这样每4bit就对应一个10进制数; 用for循环依次对每个4bit除3,记录余数,补在下一个4bit的高位,最后判断余数是否为0; 写出来大概是: for(i=MSB;i>0;i=i-4) begin case({remainder,extended_input_data[i-:4]}) 0,3,6...,45: remainder = 0; 1,4,7...,46: remainder = 1; default : remainder = 2; endcase end assign result = (remainder = 0)

支旭周1086求助:Verilog进行十进制除法运算,结果不对 -
桓应店18837825600 ______ Verilog的除法和取余数是不可综合的.

支旭周1086如何用Verilog Hdl实现算术运算 -
桓应店18837825600 ______ verilog只是在做除法的时候会有问题,及时不能做小数运算,除法通过移位得到.其他的加减乘都可以.

支旭周1086Quartus II的编译器对verilog文件中的乘法和除法运算符是否可以进行综合? -
桓应店18837825600 ______ Quartus II的编译器对verilog文件中的乘法和除法运算符是可以进行综合的,这些运算符综合后生成的RTL门级的乘法和除法电路就是AlteraIP核所提供的乘法和除法电路,而megaweizard中的进行设置的乘除法运算的模式其实也就是调用了这些IP...

支旭周1086我是Verilog的初学者,我想问一下,是不是所有的C语言代码都可以翻译成verilog语言? -
桓应店18837825600 ______ verilog不是软件语言,或者说根本不是程序,是一种硬件描述语言,每个句verilog代码都是一组硬件,软件中很多简单的一句代码,在用硬件去实现时,实际上是很复杂的,例如除法,一句C代码就够了,但它是一大堆硬件才能实现.所以,你不要期望着verilog与C代码可以互译,它们的相关性,比起英语与汉语之间的相关性差远了.

支旭周1086FPGA 频率计算占空比实现方法 -
桓应店18837825600 ______ 是的,除法很费资源.如果精度要求不高的话,可以改用移位.思路可以采用时基法,就是在特定的时间内,记下脉冲个数,比如在1秒钟记下N个,那么频率就是Nhz.这个不会很难,只要捕捉脉冲的上升沿(或下降沿)即可,也可以同时捕捉,最后求均值,这样精度会高点 如果你要计算占空比,也不难,不就是Th/T么,那么只要再计算高电平的个数就可以了.这时你会发现,同时捕捉上升沿和下降沿的方法,可以同时满足你测频率和占空比的需要.有一点很重要,就是计数器不要设的太大,否则会增加你除法的资源.可以分档进行,就是每一档对应一个频率的时钟,比如将计数范围限定在100以内,那么进行除法时将会节省很多资源.显示的时候只要改变下显示单位就OK了.

(编辑:自媒体)
关于我们 | 客户服务 | 服务条款 | 联系我们 | 免责声明 | 网站地图 @ 白云都 2024