首页 >>  正文

verilog除法运算

来源:baiyundou.net   日期:2024-09-21

秦洪媚687求助:Verilog进行十进制除法运算,结果不对 -
董郭宋18890905233 ______ Verilog的除法和取余数是不可综合的.

秦洪媚687verilog中是支持"/"实现除法操作的,但是又说不支持非2的幂的除法的综合,除非有除法IP核 -
董郭宋18890905233 ______ 我说一下我的看法吧. 如果你选择的器件有除法器ip核,要实现直接除的话你可以选择调用;这样的话就很耗资源了,应该没人会这样子去做; 如果你不调用只是单纯的在代码中用/去实现,不是二次幂的话不能综合成电路的!

秦洪媚687verilog中除法运算在modelsim仿真中只要一个时钟周期就能完成,实际上也是这样吗? -
董郭宋18890905233 ______ 比较怀疑,这种写法能综合.乘法,和除法,如果不使用厂家提供的ipcore的话,要自己搭建.我的经历,要自己搭建,一般需要多个时钟周期,需要的周期数,依赖于参与运算的数据位宽.

秦洪媚687verilog hdl怎样进行整除6运算 -
董郭宋18890905233 ______ 先判断除2,再判断除3 判断除3麻烦一点,要用for写 把被除数扩展成4*n,高位补0,这样每4bit就对应一个10进制数; 用for循环依次对每个4bit除3,记录余数,补在下一个4bit的高位,最后判断余数是否为0; 写出来大概是: for(i=MSB;i>0;i=i-4) begin case({remainder,extended_input_data[i-:4]}) 0,3,6...,45: remainder = 0; 1,4,7...,46: remainder = 1; default : remainder = 2; endcase end assign result = (remainder = 0)

秦洪媚687verilog中如何实现一个数除以5,要可综合的方法,不要使用IP核, -
董郭宋18890905233 ______ 先乘以2的n次方,将分母扩大2的n次方之后再做运算.然后对最终结果进行移位 再看看别人怎么说的.

秦洪媚687Quartus II的编译器对verilog文件中的乘法和除法运算符是否可以进行综合? -
董郭宋18890905233 ______ Quartus II的编译器对verilog文件中的乘法和除法运算符是可以进行综合的,这些运算符综合后生成的RTL门级的乘法和除法电路就是AlteraIP核所提供的乘法和除法电路,而megaweizard中的进行设置的乘除法运算的模式其实也就是调用了这些IP...

秦洪媚687急求用Verilog语言编程设计一个简单计算器,要求如下: -
董郭宋18890905233 ______ module(clk,rst,a,b,out,z); input clk,rst; input [7:0]a,b; output [15:0]out; output [7:0]z; reg [15:0]out; reg [15:0]shifta; reg [7:0]z;//商 reg [1:0]k;//根据取值不同进行不同的运算 reg [7:0]n;//存放除法中间变量 always@(posedge clk) if(!rst) begin outzend ...

秦洪媚687verilog中能否使用二维数组,能不能综合非2的幂的除法 -
董郭宋18890905233 ______ 说一下我个人的看法吧,仅供参考! 二维数组我好像只用过在存储器里 exp: reg [7:0] memory [255:0] 一个深度256位宽为8的存储器; 非2次幂的除法应该是无法综合的,如果你的器件有相应的除法器ip应该可以,但是这样耗资源. 常用做法是将其除以与其相近的的二次幂数;例如除以27,可以先将其除以32,得X=32Y+M,那么X除以27就可以等于Y,余数为5Y+M,这里Y可以用X移位得到,M=X[4:0],依次这样计算下去直到余数小于27即可..

秦洪媚687我是Verilog的初学者,我想问一下,是不是所有的C语言代码都可以翻译成verilog语言? -
董郭宋18890905233 ______ verilog不是软件语言,或者说根本不是程序,是一种硬件描述语言,每个句verilog代码都是一组硬件,软件中很多简单的一句代码,在用硬件去实现时,实际上是很复杂的,例如除法,一句C代码就够了,但它是一大堆硬件才能实现.所以,你不要期望着verilog与C代码可以互译,它们的相关性,比起英语与汉语之间的相关性差远了.

秦洪媚687关于fpga的除法 -
董郭宋18890905233 ______ "/"是可以综合的,但是占用的是FPGA内部的DSP运算模块.也可以用除法器IP核,占用的是LUT资源.也可以自己写除法器,占用的也是LUT资源,但是延时,模块最高运行频率和占用资源没有使用ip核的好.比如你想除2,那么我只需要将数右移一位,移位比写“/”要省资源的多.

(编辑:自媒体)
关于我们 | 客户服务 | 服务条款 | 联系我们 | 免责声明 | 网站地图 @ 白云都 2024