首页 >>  正文

8个led流水灯依次全部点亮

来源:baiyundou.net   日期:2024-07-16

谷修英24691.用单片机控制8个LED灯,8个LED灯从左到右依次点亮一次,再从右到左依次点亮一次,下面是后面的题,这样__
巢战钢18816002138 ______ 十六进制0xfe,对应二进制 1111_1110 这个二进制,对应引脚为 p1.7 p1.6 p1.5 p1.4 p1.3 p1.2 p1.1 p1.0 p1 = 0xfe,则对应p1.0为0,其他引脚输出1. 你的led,必然是共阳极.所以p1.0对应的灯亮. (如果是共阴极,那么p1.7~p1.1共7个led亮,p1.0上的led不亮)

谷修英2469用汇编语言编流水灯,有8个LED灯,LED1~LED8 灯按顺序点亮,每个等亮一秒转到下个灯,一直循环亮 -
巢战钢18816002138 ______ ORG 0000H SJMP MAIN ORG 0030H SJMP DELAY MAIN:MOV P0,#0EEH CALL DELAY MOV P0,#0EDH CALL DELAY MOV P0,#0EBH CALL DELAY MOV P0,#0E7H CALL DELAY MOV P0,#0DEH CALL DELAY MOV P0,#0DDH CALL DELAY MOV P0,#0DBH CALL DELAY MOV P0,#0D7H CALL DELAY DELAY:MOV R0,#50H D1:MOV R1,#100H D2:MOV R2,#100H DJNZ R1,D2 DJNZ R0,D1 RET END

谷修英2469你好,我要制作一个模型:8只LED灯,每灯间隔25厘米,顺次点亮(注意:每次只有一个灯亮,速度可调整). -
巢战钢18816002138 ______ 就是流水灯嘛,需要购买元器件如下:单片机最好是STC的,内部复位,内部晶振(省事省钱).8只LED灯8只560欧电阻 max2329P串口插座10u电容四只 导线若干.面包板一块.

谷修英2469利用8个LED灯设计一组循环彩灯,要有多种花型自动变换(至少6种),并且自定义控制开关, -
巢战钢18816002138 ______ module ledwater(clk,led,s) input clk; input[1:0]s; output[7:0]led; reg [7:0] led=0; reg [1:0] olds=0; always@(posedge clk) olds<=s; always@(posedge clk) if (olds^s) case (s) 2'b00: led<=1; //*a 2'b01: led<=1; 2'b10: led<=8'b1010_1010; 2'b11: ...

谷修英2469怎么用单片机做出8个LED同时亮,但亮度不同的流水灯? -
巢战钢18816002138 ______ 假如说,第一个灯肯定比第二个灯亮的话,可以直接把8个LED灯的限流电阻弄成不同阻值的.就像楼上说的那样. 但如果想随便变换不同的灯都能有不同的亮度话,硬件电路可以不变,限流电阻都一样.可以在程序里这样写: 首先,例如你要最亮的灯先点亮,隔几十微秒后点亮第二个灯,再相隔同样时间点亮第三个灯……依次类推间隔一定时间点亮八个灯.但间隔时间不要太大,超过十几毫秒就不行了. 然后再间隔相同的时间一次性把灯全关了,再间隔几十微秒回到上面的状态依次点亮灯.……一直这样循环. 这样的话因为人的视力有一定的滞回效果,只要间隔时间不大,你就能看到八个灯不是闪的,而是同时亮,但亮度又不一样. 这是单片机设计中,PWM控制的思路.

谷修英246951单片机8位流水灯8种变化程序 -
巢战钢18816002138 ______ 第一种:1个LED发光,循环右移. 第二种:2个LED发光,循环右移. 第三种:3个LED发光,循环右移. 第四种:4个LED发光,循环右移. 再改成左移,就又有四种. 这就有八种了. 一边移动,一边闪烁; 再改变一下速度; 这能有多少种了?

谷修英2469多功能流水灯的设计 -
巢战钢18816002138 ______ 从原理图可以看出,如果我们想让接在P1.0口的LED1亮起来,那么我们只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平就可以;同理,接在P1.1~P1.7口的其他7个LED的点亮...

谷修英2469单片机中有8个流水灯,这8个流水灯都可以怎么变化啊???比如说可以跳着亮,或连续亮,还是怎么样?? -
巢战钢18816002138 ______ 依次点亮 循环点亮 先亮一个,再亮二个.........最后全亮 等等,很许多种的.

谷修英2469微机原理作业 用keil汇编语言八位流水灯闪烁点亮 说明:8位流水灯依次点亮,每位灯闪烁三次,在点亮下一位. 8位灯依次全部闪烁后,再将8位灯同时点亮... -
巢战钢18816002138 ______[答案] ;如下即可: ORG 0000H M_LOOP: MOV A, #01H MOV P1, #255 MOV R2, #8 DISP0: MOV R3, #6 DISP1: XRL P1, A CALL DL DJNZ R3, DISP1 RL A DJNZ R2, DISP0 MOV P1, #0 MOV R3, #6 DISP2: CALL DL XRL P1, #255 DJNZ R3, DISP2 ...

谷修英2469用AT89C51单片机的P2口控制8个Led的流水灯的C程序看不懂? -
巢战钢18816002138 ______ #include void Delay1ms(unsigned int count) /*延时函数,延迟时间为count*1ms)*/{ unsigned int i,j; for(i=0;i<count;i++...

(编辑:自媒体)
关于我们 | 客户服务 | 服务条款 | 联系我们 | 免责声明 | 网站地图 @ 白云都 2024